+1 vote

Question asked on behalf of tweet from ‏@alex_barylski:

i have 2 bundles each namespaced...i have 2 objects called "sequences" one per bundle...work around...short of renaming entity?

in Feature Request by Skipper developer (74.8k points)
edited by

1 Answer

0 votes
Best answer

At this moment this is not supported, namespaces are not core attributes and cannot be used for element identification in the Skipper visual model (only the element names are). The change required for the identification of entities by names and namespaces will require complete rewrite of the application logic. Because of this, implementation is not on our short term plan.

Alex, can you please provide me more detailed example of your intended use? Perhaps we can put together some workaround, but at the moment renaming the entities seems to be the easiest and most straightforward solution.

by Skipper developer (74.8k points)
selected by